Sari la conținut
ELFORUM - Forumul electronistilor

Program VHDL Afisare Text pe NEXYS-2


Vizitator Testosterrible

Postări Recomandate

Vizitator Testosterrible

Buna.Am mare nevoie de ajutor in ceea ce priveste codul pentru afisarea unui text pe o placuta FPGA(NEXYS-2 mai exact),text caruia va trebui sa ii aplic urmatoarele efecte:palpaire,shiftare stanga->dreapta si shiftare dreapta->stanga ). Deocamdata am afisat doar textul,dar intampin probleme in shiftare si palpaire.As aprecia mult ajutorul vostru. Va multumesc anticipat.Afisarea textului:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity afisor is Port ( CLKIN : in std_logic; AN3 : inout std_logic; AN2 : inout std_logic; AN1 : inout std_logic; AN0 : inout std_logic; LED : out std_logic_vector(6 downto 0));end afisor;architecture arhitectura_afisor of afisor issignal CTR : STD_LOGIC_VECTOR(24 downto 0);begin Process (CLKIN) begin if CLKIN'event and CLKIN = '1' then if (CTR="0000000000000") then if (AN0='0') then AN0 <= '1'; LED <= "0001000"; -- litera A AN1 <= '0'; elsif (AN1='0') then AN1 <= '1'; LED <= "0101011"; -- litera n AN2 <= '0'; elsif (AN2='0') then AN2 <= '1'; LED <= "0001000"; -- litera A AN3 <= '0'; elsif (AN3='0') then AN3 <= '1'; LED <= "1111111"; -- spatiu AN0 <= '0'; end if; end if; CTR<=CTR+"0000000000000000000000001"; if (CTR > "1000000000000000000000000") then -- numaratorul ajunge la 2^24 CTR<="0000000000000000000000000"; end if; end if; -- CLK'event and CLK = '1' End Process;End arhitectura_afisor;

Link spre comentariu
  • Răspunsuri 0
  • Creat
  • Ultimul Răspuns

Zile populare

Creează un cont sau autentifică-te pentru a adăuga comentariu

Trebuie să fi un membru pentru a putea lăsa un comentariu.

Creează un cont

Înregistrează-te pentru un nou cont în comunitatea nostră. Este simplu!

Înregistrează un nou cont

Autentificare

Ai deja un cont? Autentifică-te aici.

Autentifică-te acum



×
×
  • Creează nouă...

Informații Importante

Am plasat cookie-uri pe dispozitivul tău pentru a îmbunătății navigarea pe acest site. Poți modifica setările cookie, altfel considerăm că ești de acord să continui.Termeni de Utilizare si Ghidări