Sari la conținut
ELFORUM - Forumul electronistilor

Digital si audio clasa D


dumitrumy

Postări Recomandate

5 minutes ago, merck said:

Si un microcontroler genereaza PWM dar nu-l generez ca sa il introduc apoi intr-o intrare digitala al unui circuit digital. 

De ce nu ?
Daca vreau să comunic utilizănd PWM intre două unități logice sau fizice (digitale), este și aceasta o posibilitate.
 

Editat de cloudy
Link spre comentariu
  • Răspunsuri 294
  • Creat
  • Ultimul Răspuns

Top autori în acest subiect

  • cloudy

    70

  • roadrunner

    60

  • merck

    51

  • sonic11

    20

Top autori în acest subiect

Imagini postate

@merck mai jos ai codul vhdl de la un pwm de 8 biti (digital de la mama lui lam scris eu de mana) 

si mai jos ai simularea la un semnal de ceas de 10ns (100MHz) arata iesirea pwm pentru diverse valori de intrare in modulator (valori care se vor regasii in factorul de umplere al semnalului PWM)

am reprezentat counterul si in mod rampa ca sa se intereaga mai clar unde face semnalul PWM de un bit tranzitia. 

 

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;


entity pwm is
    Port ( clk : in STD_LOGIC;
           sample : in STD_LOGIC_VECTOR (7 downto 0);
           pwm : out STD_LOGIC);
end pwm;

architecture Behavioral of pwm is

signal pwm_counter : std_logic_vector(7 downto 0):=x"00"; 
signal sample_int :  std_logic_vector(7 downto 0):=x"00"; 

begin

process (clk)
begin
    if rising_edge(clk) then
        pwm_counter <= pwm_counter + 1;
    end if;
end process;

pwm <= '0' when sample < pwm_counter else '1';    

end Behavioral;

 

pwm.thumb.jpg.c7a4c17486f5fdea654414f0da401844.jpg

Editat de roadrunner
Link spre comentariu

Da-mi un exemplu ca sa vad ca pot aplica pe o intrare digitala al unui circuit digital un PWM. Pentru ca intrarea digitala trateaza semnalul primit in functie de ton si toff vis-a-vis de semnalul de CLK. Ori la PWM cum palierul de high este variabil nu ma prind cum proceseaza informatia.

Era o intrebare la care nu ai raspuns: orice semnal dreptunghiular este digital? 

Link spre comentariu
Acum 13 minute, cloudy a spus:

Daca vreau să comunic utilizănd PWM intre două unități logice sau fizice (digitale), este și aceasta o posibilitate.

 

Citat

Da-mi un exemplu ca sa vad ca pot aplica pe o intrare digitala al unui circuit digital un PWM

 

Cobra (Sinclair Spectrum) foloseste asta la scrierea/citirea pe caseta a software-ului. (C64 si multe altele) biti de 1 si 0 sunt codati in durata (nu are clock) ca sa nu depinda de variata de deplasare a benzii casetofonului.

RR

Editat de roadrunner
Link spre comentariu

După ce am pierdut timpul aici  pe topic incercind sa înțeleg punctul de vedere al lui @roadrunner pt ca îl consider, corecție consideram, o persoana foarte educata și care înțelege bine lucrurile, am ajuns la concluzia ca încurcă digitalul cu analogicul.

După el dacă un lucru (control, sistem, modul, aparat) se poate face și digital atunci obligatoriu acel lucru (control, sistem, modul, aparat) este digital indiferent de faptul ca multe dintre acele lucruri (controale, sisteme, module, aparate) au fost concepute prima data cind nici nu se auzise de digital și erau concepute pur analogic. 

Cu puterea actuala de calcul se poate face aproape orice sa fie controlat sau creat digital dar asta nu înseamnă neapărat ca sînt digitale.

Nu mai vreau să impun o definiție a digitalului pt ca mințile odihnit ce nu gindesc decit digital vor găsi infinite argumente, nu neapărat corecte, sa o contrazică DAR un lucru (control, sistem, modul, aparat) este digital dacă lucreaza cu digiți adică cu numere și transformările ce au loc se fac prin calcule matematice.

Cum am spus, la ora actuala se pot face și prin prelucrări digitale și atunci se pot numi într-o anumita măsură și digitale dar dacă acel lucru (control, sistem, modul, aparat) se poate face și analogic este greșit sa spui ca toate INCLUSIV CELE PUR ANALOGICE sînt digitale.

Cele digitale sint doar o subfamilie. 

Imi cer scuze dacă am supărat pe cineva dar asta este părerea mea și nu o puteți schimba amestecind definiții și exemple greșite. 

 

Ps: eu nu am mintea asa de odihnita ca mulți dintre cei ce postează pe aici așa că nu va așteptați să răspund la orice comentariu chiar dacă mi se adresează direct. Citeodata trebuie să-i aloc timp să se odihnească ca să pot răspunde. 

Editat de sesebe
Link spre comentariu
Acum 5 minute, roadrunner a spus:

@merck mai jos ai codul vhdl de la un pwm de 8 biti (digital de la mama lui) 

si mai jos ai simularea la un semnal de ceas de 10ns (100MHz) arata iesirea pwm pentru diverse valori de intrare in modulator (valori care se vor regasii in factorul de umplere al semnalului PWM)

am reprezentat counterul si in mod rampa ca sa se intereaga mai clar unde face semnalul PWM de un bit tranzitia. 

Ok si ce vrei sa spui prin asta? Ca semnalul PWM este semnal digital? Spune-mi atunci succesiunea de biti din semnalul PWM. 

Te intreb si pe tine: orice semnal dreptunghiular este digital?

 

Link spre comentariu
15 minutes ago, sesebe said:

Nu mai vreau să impun o definiție a digitalului pt ca mințile odihnit ce nu gindesc decit digital vor găsi infinite argumente, nu neapărat corecte, sa o contrazică DAR un lucru (control, sistem, modul, aparat) este digital dacă lucreaza cu digiți adică cu numere și transformările ce au loc se fac prin calcule matematice.

Aici ești cam obraznic cu "mințile odihnit ce nu gindesc decit digital".
Folosești PC-ul digital ca să accesezi digital un site digital folosind o tastatură digitală ...
De ce nu ne trimiți semnale cu preșul agitat în fată focului sau cu buciumul analogic ?

12 minutes ago, merck said:

Te intreb si pe tine: orice semnal dreptunghiular este digital?

Ai cam coborât ștacheta. Se pare că incepi să înțelegi ce spune @roadrunner.
Era și timpul.
L.E. Desi am o bănuială că te-ai contrazis și de dragul discuției despre.

Editat de cloudy
Link spre comentariu
Acum 21 minute, merck a spus:

Spune-mi atunci succesiunea de biti din semnalul PWM. 

brrr m-ai pierdut aici? nu am inteles exact ce succesine de biti , dar hai ca incerc:

daca te uiti in simulare e un esantion 80h (care e 50% din FFh) la ala semanlul de PWM creat sta pe unu 128 de perioade de clock si pe zero 128 perioade de clock. (factor de umplere 50/50%)

RR 

 

@sesebe

Citat

DAR un lucru (control, sistem, modul, aparat) este digital dacă lucreaza cu digiți adică cu numere și transformările ce au loc se fac prin calcule matematice.

PWM-ul ala de am pus eu codul mai sus si simularea e digital sau analogic? 

ca daca o zic eu suna aiurea.

RR

 

Editat de roadrunner
Link spre comentariu
Acum 3 minute, roadrunner a spus:

daca te uiti in simulare e un esantion 80h (care e 50% din FFh) la ala semanlul de PWM creat sta pe unu 128 de perioade de clock si pe zero 128 perioade de clock. (factor de umplere 50/50%)

Eu asta vad:

Screenshot-2024-08-29-at-20-50-54.png

si nu prea este inteligibil.

Dar repet intrebarea (care pentru mine ar fi edificatoare): orice semnal dreptunghiular este digital?

Editat de merck
Link spre comentariu
Acum 39 minute, cloudy a spus:

Fără sqw, clasa D nu există. Mai ales trazistorii finali.
Un fleac, i-am ciuruit !

Pe la ventilator ce este ? La un PC cu procesor simplu, PWM chiar este generat direct de procesor.
 

Pe cine ai ciuruit......vad ca ești mare vânător de posturi...ai ceva promisiune de statuie?

Poate ar fi indicat sa postezi la subiect, nu crezi?

Suntem sătui de mistocareala din asta ieftina....

Link spre comentariu
6 minutes ago, sonic11 said:

Suntem sătui de mistocareala din asta ieftina...

Vorbește în numele tău !
Comentează la subiect dacă se poate.
Am afirmat ceva referitor la sqw si clasa D,
contrazice-mă cu argumente nu cu păreri de gospodină !
 

Editat de cloudy
Link spre comentariu
Acum 3 minute, merck a spus:

......... orice semnal dreptunghiular este digital?

Concluzia ce se desprinde de pe acest topic este ca da. 

 

Am îndrăznit sa contrazic inclusiv datasheet-uri și au fost modificate datasheet-utile de către producător. 

Oricine se poate înșela la un moment dat (inclusiv eu dar nu în problema discutata pe acest topic) dar important este ca să ajungă în final la concluzia corecta.

Unele persoane de pe acest topic ma îndoiesc ca vor ajunge. 

Link spre comentariu
15 minutes ago, sonic11 said:

vad ca ești mare vânător de posturi...ai ceva promisiune de statuie?

Cum de-ți permiți așa ceva ?
@validae Doar pe mine mă vezi, doar mie-mi ștergi postările ?
De ce ?

15 minutes ago, sonic11 said:

Pe cine ai ciuruit......

Pe tranzistorii tăi in clasa D atacați altfel decăt cu semnal dreptunghiular !

Editat de cloudy
Link spre comentariu

Pulse-Width-Modulation-Waveforms.jpg

Avem mai sus trei semnale PWM. 

Daca semnalele dreptunghiulare de mai sus sunt considerate digitale doresc sa stiu succesiunea de biti (digital data) din fiecare semnal. 

 

In cazul de mai jos cred ca se vede clar ca avem de-a face cu digiti:

Screenshot-2024-08-29-at-20-59-50.png

fie ca sunt adrese, fie ca sunt date. 

 

Link spre comentariu
Acum 6 minute, cloudy a spus:

Cum de-ți permiți așa ceva ?
@validae Doar pe mine mă vezi, doar mie-mi ștergi postările ?
De ce ?

 

De exemplu ți le sterge.

Link spre comentariu

Creează un cont sau autentifică-te pentru a adăuga comentariu

Trebuie să fi un membru pentru a putea lăsa un comentariu.

Creează un cont

Înregistrează-te pentru un nou cont în comunitatea nostră. Este simplu!

Înregistrează un nou cont

Autentificare

Ai deja un cont? Autentifică-te aici.

Autentifică-te acum



×
×
  • Creează nouă...

Informații Importante

Am plasat cookie-uri pe dispozitivul tău pentru a îmbunătății navigarea pe acest site. Poți modifica setările cookie, altfel considerăm că ești de acord să continui.Termeni de Utilizare si Ghidări